# HG changeset patch # User Mike Pavone # Date 1356735862 28800 # Node ID 004dd46e0a97d01b685232ada58b4073d10251d4 # Parent 1a551a85cb06e3dc4bac8cc42f16fa777415339e COmment out fifo full debug printf diff -r 1a551a85cb06 -r 004dd46e0a97 vdp.c --- a/vdp.c Fri Dec 28 15:03:00 2012 -0800 +++ b/vdp.c Fri Dec 28 15:04:22 2012 -0800 @@ -1063,9 +1063,9 @@ { //printf("data port write: %X\n", value); context->flags &= ~FLAG_PENDING; - if (context->fifo_cur == context->fifo_end) { + /*if (context->fifo_cur == context->fifo_end) { printf("FIFO full, waiting for space before next write at cycle %X\n", context->cycles); - } + }*/ while (context->fifo_cur == context->fifo_end) { vdp_run_context(context, context->cycles + ((context->latched_mode & BIT_H40) ? 16 : 20)); }